|

股票

微导纳米: 江苏微导纳米科技股份有限公司2023年年度报告摘要

来源:证券之星

2024-04-29 00:00:00

公司代码:688147         公司简称:微导纳米
        江苏微导纳米科技股份有限公司
                       第一节 重要提示
    划,投资者应当到 www.sse.com.cn 网站仔细阅读年度报告全文。
公司已在报告中详细描述可能存在的相关风险,敬请查阅第三节 管理层讨论与分析“四、风险因
素”部分内容。
    完整性,不存在虚假记载、误导性陈述或重大遗漏,并承担个别和连带的法律责任。
□是 √否
  公司拟向全体股东每10股派发现金红利0.85元(含税)。截至第二届董事会第十二次会议通知
日(2024年4月16日)  ,公司总股本454,455,359股,以扣减回购专用证券账户中股份总数803,658股
后的股本453,651,701股为基数,以此计算合计拟派发现金红利38,560,394.59元(含税)
                                                  。
  如在本公告披露之日起至实施权益分派股权登记日期间,公司总股本发生变动的,拟维持每
股分配比例不变,相应调整分配总额,并将另行公告具体调整情况。
  上述事项已经董事会审议通过,尚需提交股东大会审议。
□适用 √不适用
                     第二节 公司基本情况
公司股票简况
√适用 □不适用
                      公司股票简况
     股票种类    股票上市交易所及板块  股票简称          股票代码     变更前股票简称
    人民币普通股
             上海证券交易所科创板      微导纳米      688147     不适用
     (A股)
公司存托凭证简况
□适用 √不适用
联系人和联系方式
     联系人和联系方式      董事会秘书(信息披露境内代表)                  证券事务代表
        姓名                    龙文                       朱敏晓
       办公地址         无锡市新吴区长江南路27号             无锡市新吴区长江南路27号
        电话                0510-81975986             0510-81975986
       电子信箱          wen.long@leadmicro.com    wen.long@leadmicro.com
(一) 主要业务、主要产品或服务情况
     公司已开发和正在开发的多款薄膜沉积设备,具体如下:
                                                                产业化
    产品系列      产品图示                      产品说明
                                                                 阶段
                           适用于高介电常数(High-k)栅氧层、MIM 电
                           容器绝缘层、TSV 介质层等薄膜工艺需求。
iTomic 系列
                           产品凭借原子级别的精确控制、沉积薄膜的高 产业化
原子层沉积
                           覆盖率和超薄膜厚的均匀性,可为逻辑芯片、 应用
 镀膜系统
                           存储芯片提供介质层等关键工艺解决方案,技
                           术和设备指标达到国内一流、国际先进水平。
                           采用创新的批量型(mini-batch)腔体设计,
                           可一次处理 25 片 12 英寸晶圆,适用于成膜镀
iTomic MW
                           率低,厚度要求高,以及产能要求高的关键工
系列批量式                                                           产业化
                           艺及应用。产品利用特有的流场设计,具有成
原子层沉积                                                            应用
                           膜速度快,占地面积小,产能高、使用成本低
 镀膜系统
                           等优势,为存储芯片以及 Micro-OLED 显示
                           器、MEMS 等提供定制化量产的解决方案。
                           产品采用原创设计开发的自动化平台与模块
                           化 ALD 反应腔相结合,可以按需配置 PEALD
iTomic Lite                或 Thermal ALD 等工艺需求。产品具有强大
系列轻型原                      的兼容性,其硬件配置在保持量产机型强大功                 产业化
子层沉积镀                      能的前提下,可满足各类晶圆尺寸(6、8 英                 应用
  膜系统                      寸)量产工艺需求,同时也可满足客户高端研
                           发和新工艺试量产需求,可广泛应用于
                           MEMS、光电器件等泛半导体器件领域。
                           产品可根据不同温度要求制备氧化硅、氮化
                           硅、氮氧化硅等薄膜制备工艺及应用,通过精
iTomic PE
                           准快速控制成膜速度、低反应温度、材料配比
系列等离子
                           等技术,完美实现材料厚度均匀性、膜应力, 产业化
体增强原子
                           热过程,以及阶梯覆盖率等极具挑战的工艺需  验证
层沉积镀膜
                           求。可为逻辑芯片、存储芯片、先进封装等提
   系统
                           供客制化掩膜层、介质层、图案化等关键工艺
                           解决方案。
                                                         产业化
产品系列          产品图示                    产品说明
                                                          阶段
                          产品采用自主研发的反应腔室和电气软件集
 iTronix                  成化服务,在逻辑、存储、先进封装、显示器
系列化学气                     件等芯片制造领域具有广泛应用,可满足多种           产业化
相沉积镀膜                     功能性薄膜沉积工艺的开发和应用需求。搭载            验证
  系统                      新型平台可安装更多反应腔以满足高产能需
                          求。
                          公司独立研发的、适用于高产能半导体制程设
Trancendor                备的晶圆传输系统。该系统可根据客户工艺需
                                                         产业化
系列晶圆真                     要,灵活挂载一至多个工艺腔体(每个工艺腔
                                                          应用
空传输系统                     体可配备一至多个工作站)在真空环境下进行
                          快速高效晶圆传输。
   注:1、随着公司产品种类的不断丰富,公司持续完善产品型号命名规则;2、产业化应用是指已实现销售,
产业化验证是指已签署合同并正在履行,开发实现是指已形成研发样机,虽未与客户签署销售合同但已发往客户
处进行试样验证,下同。
  iTomic 系列原子层沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄
膜材料,可用于逻辑芯片、传统及新型存储芯片的电容介质层、高 k 栅介质覆盖层、掺杂介质层、
芯片制造电极及阻挡层、化合物半导体钝化和过渡层等多个应用领域。该系列部分产品已取得客
户验收,实现产业化应用,并取得重复订单。
  iTomic MW 系列批量式原子层沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉
积工艺等薄膜材料,可用于逻辑芯片、传统和新型存储芯片电容介质层、掺杂介质层、新型显示
器、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等应用领域。该系列部分产品已取得客
户验收,实现产业化应用,并取得重复订单。
  iTomic PE 系列等离子体增强沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉积
工艺等薄膜材料;可用于 MEMS、逻辑、存储、CMOS 芯片的多重图案化和间隔层。该系列部分
产品已发往客户处进行试样验证。
  iTronix 系列化学气相沉积镀膜系统,可应用于逻辑、存储、先进封装、显示器件等镀膜领域。
该系列部分产品在 2023 年 7 月首台设备出货后,目前已经取得客户批量重复订单。
    在半导体领域内,公司目前已经开发工艺包括 HKMG 技术、柱状电容器、金属化薄膜沉积技
术及高深宽比 3D DRAM、TSV 技术等,覆盖 HfO₂、Al₂O3、ZrO₂、TiO₂、La₂O3、ZnO、SiO₂、
HfSiO、HZO、HZLO、TiN、AlN、SiN、SiON、SiGe 等薄膜材料。
                                                       产业化
产品系列      产品图示                 产品说明
                                                       阶段
                 采用微导原创的反应腔体设计和先进的薄膜沉积
                 技术及自动化集成技术,可为高效晶硅太阳能电池
                 表面钝化提供高质量超薄钝化膜的制备,确保电池
  夸父
                 光电转换效率的进一步提升。基于成功量产机型的
(KF)系                                                  产业化
                 设计原理,该产品是公司原创设计的第七代产品,
列批量式                                                   应用
                 代表了光伏行业国产创新设备的先进技术,在提供
ALD 系统
                 超高产能的同时,最大程度降低设备的运营成本,
                 为客户提供可靠的量产解决方案,引领光伏产业化
                 高效电池智能化制造。
                 祝融系列(ZR5000×1)管式 PECVD 系统突破性解
                 决传统管式 PECVD 的产能瓶颈,可与公司 ALD 钝
                 化技术无缝对接,确保 PERC、TOPCon、XBC 等
                 高效电池生产。以先进技术和装备高度集成,形成
                 高质量、高产能、低成本的产业化量产。
                 祝融系列(ZR5000×2)管式 PEALD/PECVD 集成
                 系统利用公司原创设计的工业级等离子体增强原
                 子 层 沉 积 (PEALD) 技 术 , 以 及 行 业 创 新 的
                 PEALD/PECVD 同管技术,实现了超高产能的批量
祝融(ZR)
                 型 PEALD 镀膜,是 ALD 领域量产化技术又一次突
系列管式
                 破,专为接触钝化技术(TOPCon、HPBC、SHJ、POLO       产业化
PEALD/P
                 和 TBC)量身定制,为后 PERC 高效电池技术提供           应用
ECVD 系
                 可靠的量产解决方案,引领光伏产业化高效电池智
  统
                 能化制造。
                 祝融系列(ZR5000×3)管式 PEALD/PECVD 集成
                 系统一体式设计实现氮化硅正膜、氧化铝/背膜、氧
                 化硅/多晶硅钝化膜一站式完成,可实现单道产能翻
                 倍,节省占地面积。同时兼具先进的制造执行管理
                 系统(MES)和自动导引车(AGV)对接功能。在
                 提供超高产能的同时,最大程度降低设备的运营成
                 本,为后 PERC 高效电池技术提供理想可靠的量产
                 解决方案,引领光伏产业化高效电池智能化制造。
                 采用原创设计的高温热场控制技术,真正实现了兼
                 容磷、硼两种扩散工艺,其中硼扩散工艺又兼容
 羲和              BBr3 和 BCl3 两种工艺源。独创的冷却技术可提升
(XH)系            设备与零件的使用寿命,同时缩短了工艺时间,为                产业化
列高温低             PERC+和 TOPCon 等下一代量产高效电池的提效降          应用
 压系统             本,提供了全套的主机及先进的工艺解决方案。此
                 外,羲和系统也提供退火,氧化和低压化学气相沉
                 (LPCVD)功能。
                                                         产业化
产品系列         产品图示                   产品说明
                                                         阶段
                       采用自主知识产权的真空腔内温场和流场设计,实
                       现空间型原子层沉积镀膜工艺方式,为钙钛矿薄膜
  后羿
                       太阳能电池组件产线提供高质量氧化物功能薄膜
(HY)系                                                    产业化
                       材料,以确保量产组件的高效率和长寿命。面向平
 列板式                                                     验证
                       米级玻璃衬底,高速镀膜解决方案,适配线型产线
ALD 系统
                       的生产节拍,集成成熟的上下料自动化方案,为客
                       户提供可靠的大规模量产解决方案。
公司设备在光伏产品生产中的具体镀膜工艺、应用领域和产业化阶段情况如下:
   产品系列        设备类型      镀膜工艺          目前应用领域          产业化阶段
                                      PERC 电池背面钝化层、
                                     TOPCon 电池正面钝化层、   产业化应用
夸父(KF)系列批
                 TALD    Al2O3 等工艺    XBC 电池正背面钝化层
 量式 ALD 系统
                                     钙钛矿/异质结叠层电池等
                                                       产业化应用
                                      高效晶硅太阳能电池钝化
 祝融(ZR)管式                               PERC 电池减反层
                PECVD     SiNX 等工艺                     产业化应用
  PECVD 系统                            TOPCon 电池背面减反层
               PEALD 和 Al2O3、SiNX 等工 PERC 电池背面钝化层、减
                                                       产业化应用
                PECVD         艺               反层
 祝融(ZR)管式
               PEALD 和 Al2O3、SiNX 等工 TOPCon 电池正面钝化层、
 PEALD/PECVD                                           产业化应用
                PECVD         艺              减反层
   集成系统
               PEALD 和 隧穿氧化硅、掺杂 TOPCon 电池隧穿层、掺杂
                                                       产业化应用
                PECVD    多晶硅等工艺             多晶硅层
羲和(XH)高温                非晶硅晶化及掺
               炉管设备                   TOPCon 电池扩散、退火   产业化应用
  低压系统                     杂、扩散
               ALD/PEA 非晶/微晶硅基掺
后羿(HY)系列                             钙钛矿、钙钛矿/异质结叠
               LD/PECV 杂薄膜、阻水阻氧                        产业化验证
板式 ALD 系统                                    层电池
                   D       保护层等
                                                         产业化
 产品系列          产品图示                  产品说明
                                                          阶段
                          基于自主研发,将超大空间型 ALD 镀膜技术
                          与真空卷对卷技术结合,开发大型卷对卷原子
iSparol 系列                层沉积镀膜平台。其中平台集成超大型平面原
                                                 产业化
  卷对卷                     子层沉积(ALD)系统,并开发满足产业化量产
                                                 应用
 ALD 系统                   的成熟工艺材料体系,实现在超大宽幅柔性基
                          材上制备高阻隔膜的整体解决方案。经过多年
                          产业化验证和装备升级已经迭代到二代产品。
  除上述专用设备外,公司还为客户提供配套产品及服务,主要包括设备改造、备品备件及其
他两类业务。
  ①设备改造。公司的设备采用模块化设计,公司可以针对市场需求和技术发展趋势,为已销
售的在役设备提供改造服务,以帮助下游客户用较少的成本达到降本增效的效果,提高设备服役
年限。公司目前的设备改造集中在光伏领域设备,设备改造的内容主要包括尺寸改造、工艺改造
等。
  ②备品备件及其他。公司设备在运行过程中,部分零部件会出现正常损耗,因此下游客户需
向公司采购易损耗的零部件。备品备件主要为载具(一体舟)等产品。公司还针对设备提供载具
清洗、耗材更换等后续服务。
(二) 主要经营模式
  公司通过向客户销售专用设备,提供设备改造、备品备件等配套产品及服务,获得相应的收
入,扣除成本、费用等相关支出,形成公司的盈利。
  公司主要根据研发、生产、售后服务的需求计划和安全库存的需要等制定和执行采购计划,
在合理控制库存的同时,保证物料供应的及时性。
  公司采用定制化设计与生产。根据客户采购意向和需求进行产品定制化设计与生产,以满足
客户的差异化需求。公司在设备生产中存在外协加工的情况,公司外协加工包括外购加工件和委
外加工两种情形。
  公司的销售模式为直销,主要通过直接接洽和投标的方式获取客户。设备运至客户指定的位
置后,公司负责组织安装调试、配合客户生产工作,并提供技术指导、售后跟踪和维修服务。
  公司的产品研发及产业化流程主要包括需求提出、立项和规划阶段、开发实现阶段、产业验
证阶段、产业化应用阶段。
  报告期内,公司主要经营模式未发生变化。
(三) 所处行业情况
  根据《国民经济行业分类与代码》(GBT/4754-2017)
                               ,公司所处行业属于 C3562 半导体器件
专用设备制造(指生产集成电路、二极管(含发光二极管)      、三极管、太阳能电池片的设备的制造),
属于高端装备在半导体集成电路、光伏等新一代信息技术领域、新能源领域的应用。根据公司产
品的应用领域的不同,下游行业发展情况如下:
  (1)薄膜沉积设备是半导体前道工艺设备的核心设备之一,受下游晶圆产线扩产、技术迭
代和新兴工艺的驱动,行业拥有较大的市场空间和良好的成长性。
   半导体行业是电子信息产业的基础支撑,产业链主要包括半导体材料、半导体设备以及设计、
晶圆制造、封测环节。长期来看,半导体是周期与成长并存的行业,全球半导体行业已经历多轮
周期,整体在波动中上升。预计随着以人工智能(AI)为代表的新兴应用的高速发展,HBM、
GAA-FET 等尖端芯片和高端存储芯片产能扩产将是半导体设备市场未来的核心推动力。
      在 AI 等新兴应用推动下全球半导体市场有望在 2030 年突破 1 万亿美元市场规模
  来源:SIA,Applied Materials –SMI;2030 Forecastes:TechInsights
  晶圆制造环节中,薄膜沉积设备制备的各类薄膜发挥着导电、绝缘、阻挡污染物等重要作用,
直接影响半导体器件性能,其与刻蚀设备、光刻设备并称为晶圆制造的三大主设备,投资额占晶
圆制造设备投资总额的 20%以上。
                             薄膜沉积是半导体晶圆制造的核心环节
  薄膜沉积设备的不断创新和进步支撑集成电路制造工艺向更小制程发展。随着集成电路制造
不断向更尖端工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,先进
制程芯片和高端存储芯片所需要的薄膜层数和种类越来越多,对绝缘介质薄膜、导电薄膜的材料
种类和性能参数不断提出新的要求,这给以薄膜沉积设备为核心产品的公司带来了极大的成长机
会。根据 SEMI 预计,2023 年全球半导体晶圆制造前端设备市场规模为 905.9 亿美元,到 2025 年
将扩大至 1097.6 亿美元,2023 至 2025 年复合年均增长率达到 6.6%。薄膜沉积设备约占晶圆制造
前端设备市场 24%,2025 年市场规模预计 263.4 亿美元。
  (2)半导体薄膜沉积行业具有较高的技术壁垒、市场壁垒和客户认证壁垒,国际市场目前
主要由传统设备厂商占主要市场份额,国产化趋势明显。
    半导体薄膜沉积设备具有极高的技术壁垒,由于传统的国际大型厂商成立较早,具有先发优
势,而半导体设备又具有验证周期长、配套设施和供应链重置成本高的特点,后发厂商的客户认
证壁 垒较高。多重 因素导致 目前全球薄膜 沉积设备 市场基本上由 应用材 料 AMAT(Applied
Materials,Inc.)、泛林半导体 LAM (Lam Research Corporation)、东京电子 TEL(Tokyo Electron
Limited)、先晶半导体 ASM(ASM International)等传统设备厂商占有主要市场份额。
  为推动我国半导体产业的发展,国家先后设立国家重大专项和国家集成电路基金,相关支持
政策不断落实与实施,本土半导体及其设备制造业迎来了前所未有的发展契机。同时,当前,海
外半导体工艺设备供应受限,基于供应链安全的考虑,国内晶圆厂商对半导体工艺设备的国产化
需求强烈,本土半导体设备的导入和验证加速。薄膜沉积设备作为半导体制造的核心设备,将会
迎来巨大的发展机遇。
  (1)薄膜沉积设备是太阳能电池片制造环节的关键设备之一,受益于光伏行业装机规模持
续扩大和旧设备改造需求增长,市场前景广阔。
  光伏电池片制造过程中,薄膜沉积设备制备的薄膜直接影响电池片的光电转换效率。随着电
池结构的发展与电池转换效率的不断提升,薄膜沉积设备的重要地位愈发凸显,且在电池产线设
备投资中的占比不断提高。
  全球《巴黎协定》的签订以及中国碳达峰和碳中和目标的提出,全球能源转型驱动光伏装机
规模持续扩大。国内经过过去十多年快速发展,光伏技术不断突破,发电成本快速下降,装机规
模迅猛增长,根据中国光伏行业协会(CPIA)数据显示,2023 年国内累计新增装机 216.88GW,
同比增长 148.1%,新增和累计装机量继续保持全球第一的水平。电池片产量超过 545GW,同比
增长超过 64.9%。同时,电池技术也加快了从 PERC 到 TOPCon 的迭代,N 型电池 TOPCon 市场
占有率大幅度上升。装机容量和电池片产量的不断扩大,以及电池技术的迭代带动了光伏设备尤
其是薄膜沉积设备需求的增加。
   另外,国家发改委同有关部门研究制定了《推动大规模设备更新和消费品以旧换新行动方案》,
相关政策将支持探索在风电光伏、航空等新兴领域开展高端装备再制造业务。加快风电光伏、动
力电池等产品设备残余寿命评估技术研发,有序推进产品设备及关键部件梯次利用。完善风力发
电机、光伏设备及产品升级与退役等标准。预计在这一政策的推动下,现存行业内面临现存的
PERC 电池产线将产生较大规模的设备改造服务需求。
             PERC 电池工艺与 PE-TOPCon 电池工艺流程对比
  在 PERC 电池产线向 TOPCon 产线升级的过程中,公司既具备相应的设备改造服务能力,也
能够为客户提供改造过程中核心设备,降低客户产线改造的成本,提升产线的经济效益。
  (2)光伏电池片技术迭代带来设备新需求,具备相应技术储备和研发实力的公司具有更强
的市场竞争力。
  光伏电池片制造环节的规模优势明显、技术迭代较快,在实现规模经济、降本增效的驱力下,
电池片厂商积极扩产并推动新技术产业应用,其中薄膜沉积设备作为光伏电池的核心设备与新型
工艺技术开发紧密结合并持续迭代发展。
   目前,由于 PERC 电池片的量产平均转换效率已逐渐接近理论极限,TOPCon、HJT、XBC
等新型电池技术路线正逐步成为电池技术的主要发展方向。新建量产产线开始主要聚焦于
TOPCon、HJT 两种技术路线。其中,TOPCon 技术凭借其较高的转换效率、相对成熟的设备与工
艺、较高的量产性价比,在 N 型路线中率先脱颖而出,2022 年下半年开始规模化量产。本轮技术
迭代周期,率先实现技术研发与量产的领先设备厂商将更具市场竞争力。公司长期深耕光伏新能
源产业,在 TOPCon、XBC、钙钛矿及钙钛矿叠层等电池技术领域均有产品储备、布局和出货,
下游厂商提供全球领先的设备产品和解决方案,持续引领行业技术发展。
  在半导体领域内,公司已与国内多家头部半导体厂商建立了深度的合作关系,ALD 产业化应
用迅速发展的同时,藉由现有的薄膜沉积类产品研发、推广和产业化的经验,开发了以 CVD 为
代表的多种真空薄膜技术产品,相关产品涵盖了逻辑、存储、化合物半导体、新型显示等细分应
用领域,多项设备的镀膜质量、产能水平、稳定运行能力等关键指标均已达到了国际先进水平。
高介电常数(High-k)栅氧薄膜工艺难度较大,公司是国内首家将其成功量产应用于集成电路制
造前道生产线的国产设备公司,也是国内少数成功将该类设备应用于新型存储器制造生产线的国
产设备厂商,并已获得客户重复订单认可,填补了我国在该项半导体设备上的空白。
  在光伏领域内,公司作为率先将 ALD 技术规模化应用于国内光伏电池生产的企业之一,已成
为行业内提供高效电池技术与设备的领军者之一,与国内头部光伏厂商形成了长期合作伙伴关系,
相关产品已在新型电池产线上得到下游客户广泛认可,在同类型产品中市场占有率位居第一梯队。
同时,公司在 CVD 设备上也持续突破,针对 TOPCon 电池技术核心的隧穿氧化层与多晶硅薄膜
研发了可镀导电膜的管式 PECVD ToxPoly 集成技术方案,并成功将研发成果产业化,新技术不仅
被国内外知名光伏媒体广泛转载,在 TOPCon 的扩产浪潮中也逐步受到行业的认可,PE Poly 设备
的市场占有率不断攀升,客户群体包括通威、阿特斯、晶科、天合光能等在内的多家知名太阳能
电池片生产商。
  根据公开的市场数据统计,公司 ALD 产品已连续多年在营收规模、订单总量和市场占有率方
面位居国内同类企业第一。
  半导体薄膜沉积设备技术的演进路径与半导体器件的大小和结构息息相关。在摩尔定律的推
动下,元器件集成度的大幅提高要求集成电路线宽不断缩小,影响集成电路制造工序愈为复杂,
对于薄膜颗粒的要求也由微米级提高到纳米级。这一趋势对薄膜沉积设备产生了更高的技术要求,
市场对于高性能薄膜设备的依赖逐渐增加。
     (1)半导体领域中 PVD、CVD、ALD 三类薄膜沉积技术相互补充、不断迭代。
   常见的半导体领域中薄膜类型主要分为半导体、介质、金属/金属化合物薄膜三大类。半导体
领域薄膜的沉积材料与应用场景复杂多样,伴随制程的演变材料需求增加,推动薄膜沉积工艺和
设备的进步。薄膜制备依据的基础原理不同,因此薄膜沉积设备的工艺存在不同的技术路线。物
理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)三类薄膜沉积技术均为目前半
导体领域的主流技术路线,但各技术适用的环节有所不同。在芯片的制造过程中,涉及十余种不
同材料的薄膜、数十种工艺类型、上百道工艺环节,需要不同性能和材料的薄膜,因此 PVD、CVD、
ALD 三类薄膜沉积技术依靠各自技术特点拓展适合的应用领域,材料制备上相互补充。三种技术
本身也随着下游应用需求的提高持续发展。
  ALD 技术相较于 CVD 技术和 PVD 技术,产业化应用起步时间较晚,在 45nm 以上等成熟制
程、2D 平面结构器件中应用较少,2007 年 Intel 公司才首次在 45nm 技术节点上开始应用 ALD 技
术进行薄膜制备,主要由于在先进制程节点下,原来用于成熟制程的溅射 PVD、PECVD 等工艺
无法满足部分工序要求,因此需要引入 ALD 工艺。ALD 技术凭借其原子层级沉积特点,具有薄
膜厚度精确度高、均匀性好、台阶覆盖率极高、沟槽填充性能极佳等优势,特别适合在对薄膜质
量和台阶覆盖率有较高要求的领域应用,在 45nm 以下节点以及 3D 结构等半导体薄膜沉积环节具
有较好的应用前景。半导体制程演进与薄膜沉积技术对应情况如下:
  (2)ALD 技术在逻辑芯片、DRAM、3D-NAND、新型存储器、新型半导体材料等重要领域
的技术优势明显,应用迅速扩大。
  ①晶体管结构需要全方位的 ALD 解决方案
  晶体管是构成逻辑电路、微处理器及记忆元件的基本单元,漏电一直是影响其良率、性能和
功耗的重要影响因素。进入 45nm 制程特别是 28nm 之后,传统的 SiO2 栅介质层薄膜材料厚度需
缩小至 1 纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加、器件
性能急剧恶化,业界提出了用高 k 材料来替代 SiO2 改善器件性能。HfO2 作为栅介质层得到了广
泛应用,栅介质层要求厚度原子级别的精确控制及高覆盖率和薄膜均匀性,所以需要 ALD 技术来
进行薄膜沉积。
                      不同制程下晶体管结构
  资料来源:Lam Research
    为了进一步提升器件性能,在半导体制程进入 28nm 后,由于器件不断微缩且转变为 3D 结构,
如 FinFET、GAA 等。在标准平面替换闸极技术中,金属栅极堆叠由 ALD、PVD 以及 CVD 多种
技术沉积金属层结合组成,但器件过渡到 FinFET、GAA 等三维结构,PVD 和 CVD 则难以达到
沉积效果,需要全方位的 ALD 解决方案。
  目前,半导体行业的薄膜沉积设备中,ALD 设备作为技术发展所必须的工艺设备,在大规模
量产方面国内厂商尚未形成突破。当技术节点向 14 nm 甚至更小的方向升级时,ALD 设备的必要
性更加凸显。目前,基于供应链安全考虑,国内设备制造商正面临更多的机会。面对半导体设备
向高精度化与高集成化方向发展的趋势,以及国产化进程加快的背景下,国产半导体 ALD 设备迎
来前所未有的发展契机。
  ②ALD 技术在存储芯片 DRAM、3D NAND 需求越来越大
   随着 DRAM 存储器容量不断增大,其内部的电容器数量随之剧增,而单个电容器的尺寸将进
一步减小,器件内部沟槽以及深孔的深宽比也越来越大。深沟槽将需要更高的薄膜表面积,例如
在 45nm 制程中,沟槽结构深宽比达到 100:1,所沉积薄膜的有效面积大约是器件本身表面积的 23
倍。这些给沉积技术提出了更高的要求。同样地,得益于薄膜以单原子层为量级生长所带来的大
面积均匀性、高台阶覆盖率和对膜厚的精确控制,ALD 技术能够很好地满足这些要求。
难以达到沉积效果,ALD 则可以实现高深宽比特征下的均匀镀膜。以最具挑战性的向字线中填充
导电钨为例:3D NAND 交替堆叠氧化物和氮化物介电层,目前层数多达 256 层。密集排列且具
有高深宽比的孔渗透至这些层中,按照高深宽比通道将排列分为字线。为了创建存储单元,必须
移除氮化物层并以钨进行替换。这种钨必须通过深(垂直深度 50:1)通道引入,然后横向扩散,
从而以无孔洞的超共形沉积方式填充(之前的)氮化物水平面(横向比约 10:1)。原子层沉积能够
一次沉积一个薄层,这就确保了均匀填充,并防止因堵塞而产生的空隙。
  资料来源:Lam Research
  ③ALD 技术在新型存储技术迭代和发展中起到重要作用
  未来存储器的技术迭代包括进一步发展功耗低、速度快、容量大、记忆时间长的各类新型存
储器,如铁电存储器(FeRAM)、阻变存储器(RRAM)等,其所具有的特殊材料和存储结构可
在多方面提升存储器性能,也相应的需要更为尖端的薄膜沉积工艺作为支撑。
                                         FeRAM 结构示意图
  资料来源:Journal of Advanced Dielectrics
   以铁电存储器(FeRAM)为例,其铁电容栅介质层 HfO2 厚度往往小于 5nm 且呈现高深宽比
结构,同时还需要在其介质中精确掺杂 Zr 和 La 来保持界面特性以稳定特定铁电相。利用 ALD
技术所具有的原子级别的薄膜膜厚和均匀性精确控制、高覆盖率沉积的特点,通过精确控制和调
节循环比例(多元掺杂和叠层技术),可以获得目标铁电电容材料 HZLO 薄膜及原子组成
(Hf:Zr:La:O),满足了铁电存储器件制造过程的需要。又比如阻变存储器(RRAM),其是利用金
属氧化物材料在外加电场作用下高阻态和低阻态之间的可逆转换实现信息存储,相应金属氧化物
材料包括 TiO2、ZrO2、HfO2、Ta2O5、ZnO 等,此类材料在制造过程中对薄膜厚度、均匀性和覆
盖率均有较为严格的要求且需要使用各类掺杂工艺,使用 ALD 技术能够满足相关的需求。
  ④ALD 技术在铟镓锌氧化物(IGZO)等新型半导体材料制备的应用增加
  以铟镓锌氧化物(IGZO)作为代表的宽禁带半导体材料,可以有效抑制关态漏电流,具有理
想的迁移率、低热预算等优点,在三维堆叠存储器、单片三维集成中具有重要的应用潜力,有助
于提高晶体管的集成密度。另外,在显示应用领域,相比传统非晶硅和低温多晶硅晶体管,IGZO
薄膜晶体管很好地兼备了高迁移率、大面积成膜均一、低成本且工艺兼容的优势,被大规模地应
用在新一代显示驱动领域。制备 IGZO 的关键是精确控制元素配比和含氧量,其直接影响到器件
本身的特性和稳定性。相对于其他工艺方法,通过 ALD 技术可以获得到具有精确厚度、较低氧缺
陷的高性能 IGZO 薄膜,在复杂结构的尖端器件和新型显示应用中具有良好的应用前景。
               基于铟镓锌氧化物(IGZO)材料的薄膜晶体管(TFT)结构示意图
  资料来源:Journal of Semiconductor Technology and Science
  综上所述,ALD 技术凭借优异的三维共形性、大面积成膜的均匀性和精确的膜厚控制等特点,
技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将持续提高。SEMI 预计 2020 年-2025
年全球 ALD 设备市场规模年复合增长率将达到 26.3%,在各类关键晶圆生产设备中增速最快。
  ⑤器件微缩采用的多重曝光技术需要 ALD 技术
  自 2011 年开始,晶圆代工厂开始采用效率更高、功耗更低的 16nm/14nm FinFET 晶体管结构,
但由于当光罩线宽接近光源波长时将会发生明显的衍射效应,会导致光刻工序的失效。在 EUV
技术普及之前,目前主流的 ArF DUV 光刻机(波长 193nm)通过浸润、相移掩模、多重曝光等方
法,满足 28nm 以下 7nm 以上的制程工艺。多重曝光技术是指在现有的光刻机精度下,依次使用
不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质层作为二次曝光的部分遮挡
层。在此过程中,由于多重曝光增加了多道薄膜沉积工序,需要薄膜技术具有接近 100%的保型
性、薄膜厚度控制精准,因此 ALD 技术被迅速推广应用。
                         多重曝光技术
  (3)CVD 等传统薄膜沉积技术仍具有十分广泛的应用和市场空间
   虽然随着 ALD 技术的发展,其应用范围逐步拓展,但由于芯片的制造过程中,涉及数十乃至
百余种不同要求的薄膜材料,各类电性能、机械性能不同的薄膜构成了芯片 3D 结构体中不同的
功能,不同种类的薄膜沉积设备适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等不
同要求,CVD 等传统薄膜沉积设备仍广泛应用于半导体薄膜沉积的各环节,并占据一定的市场空
间。根据 SEMI 和北京欧立信数据显示,在 2021 年全球各类薄膜沉积设备市场份额中,PECVD、
LPCVD 等 CVD 技术仍是薄膜设备中占比最高的设备类型,PECVD 占整体薄膜沉积设备市场的
  其中,PECVD 设备是芯片制造的核心设备之一。由于等离子体的作用,可以在相对较低的反
应温度下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄膜沉
积速度,是芯片制造薄膜沉积工艺中运用最广泛的设备之一。
  再如,LPCVD 技术中,反应压强下降到 100Torr 及以下,分子的自由程与气体扩散系数增大,
气态反应物和副产物的质量传输速率加快,形成薄膜的反应速率增加,具备较佳的阶梯覆盖率及
很好的组成成份和结构控制。LPCVD 设备具有沉积速率快,产能高等特点,且不需要载子气体,
大大降低了颗粒污染源,被广泛地应用在芯片制造过程中。
  PECVD、LPCVD 等 CVD 设备适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等
的不同要求,相关设备覆盖的工艺范围广,应用场景也较多。因国内半导体行业发展较为迅速,
且目前 CVD 的国产化率水平还处于较低水平,国内 CVD 设备市场具有十分广阔的市场空间。
  (4)公司半导体 ALD 和 CVD 技术的发展情况
  公司半导体 ALD 和 CVD 设备的应用场景均代表国内半导体各细分领域的工艺发展方向,在
逻辑芯片、存储芯片、新型显示、化合物半导体领域均有设备订单,并已在客户段验收或客户验
证,具体情况如下:
    ①在逻辑芯片领域,已开发的逻辑芯片中高 k 栅介质层是国内集成电路技术迭代升级要求最
高的工艺之一。公司 ALD 设备凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,制备的
高 k 材料 HfO2 较好的满足了逻辑器件制造过程的需要,相关设备已取得客户验收,实现产业化应
用,并已获得重复订单。同时,公司还在逻辑芯片领域陆续开发新的设备工艺和材料应用。
    ②在存储芯片领域,ALD 设备在高 k 栅电容介质层、介质覆盖层、电极、阻挡层等工艺中的
优势使其被广泛应用于 DRAM、3D-NAND、新型存储器等半导体制造领域,未来其在薄膜沉积
环节的市场占有率将持续提高。公司应用于该领域的部分设备已进入产业化应用阶段。其中,
iTomic 系列单片型 ALD 设备已获得多种工艺设备的重复订单,且在铟镓锌氧化物(IGZO)等半
导体材料以及铁电存储器(FeRAM)等新型存储领域内储备并开发了多种工艺及设备。IGZO 相
关工艺和设备处于客户测试阶段。FeRAM 相关工艺和设备目前正在客户产线进行量产验证,成为
国内少数成功量产应用于新型存储器制造生产线的国产薄膜沉积设备之一;iTomic MW 系列批量
型 ALD 设备也已获得客户订单,且为国内首台批量型 ALD 设备在存储芯片制造领域的应用。
  CVD 设备在 DRAM 芯片、NAND 芯片等领域具有广泛的应用。其中,iTronix 系列 CVD 设
备已经在首次出货至客户端进行产业化验证后,获得了行业重要客户的批量重复订单。
   ③在新型显示芯片领域,公司产品主要应用于硅基 OLED 的阻水阻氧保护层制备,该类硅基
OLED 具有尺寸小、便携性等特点,主要用于近眼显示系统和投影显示,市场前景广阔且发展迅
速。薄膜沉积环节是影响其量产的关键技术之一。在该领域内,公司已陆续获得了如京东方、合
肥视涯、浙江宏禧等新型显示硅基 OLED 厂商知名客户的订单,并顺利出货。部分产品已获得客
户验收,并取得重复订单。
  ④在化合物半导体领域,化合物半导体的钝化层和过渡层应用化合物半导体功率器件,具有
广阔的市场前景。例如,氮化镓器件相对于硅基器件有高频高压的特点,其栅极结构逐渐被 V 型
或深沟槽型结构取代,氮化镓器件的漏电问题也日益突出。ALD 技术适合于生长超薄 Al2O3、AlN
等薄膜作为钝化层和过渡层,可以起到更好的器件漏电抑制效果,保证器件具有良好的漏电和击
穿性能。公司应用于该领域的 iTomic Lite 系列轻型 ALD 设备产品已获得多个客户订单,部分已
取得客户验收,实现产业化应用。
  随着逻辑芯片、DRAM、3D-NAND 及新型存储器芯片、化合物半导体、新型显示(硅基 OLED)
等半导体技术的快速发展,下游生产环节对于沉积薄膜的厚度、精度、成分和结构的要求不断提
高,对 ALD、CVD 设备采购需求将会持续增加。公司已经与下游半导体制造厂商就各类尖端应
用开展合作,能够满足客户制备高质量薄膜的需求。在国产化进程加快的背景下,随着下游客户
逐步达产和半导体各细分领域工艺应用投资规模的扩大,公司产品将具有更广阔的市场前景。
  光伏薄膜沉积设备技术的演进路径与光伏电池类型变化相关。太阳能电池片技术路线主要包
括铝背场电池(Al-BSF)、PERC、TOPCon、异质结(HJT)
                                  、XBC 电池、钙钛矿等。目前,PERC
技术已经非常成熟,TOPCon 正逐步成为主流,同时行业内也在积极探索或布局 HJT、XBC、钙
钛矿等新型光伏电池技术,目前尚处于实验或验证阶段。
   光伏领域中薄膜沉积技术以 PECVD 和 ALD 为主,综合使用多项技术路线是行业趋势。
PECVD 技术因其兼容性高,各类型应用前景广泛。ALD 技术作为成膜质量最好的技术,随着光
伏效率提升对薄膜工艺要求提高,也有更多的应用场景。行业内薄膜设备厂商目前主要以 PECVD
或 ALD 技术路线为主,根据各自的技术积累和未来技术方向的专业判断,同时进行多种技术路线
的选择和尝试。
   公司 ALD 技术在 TOPCon 电池中已经取得良好应用,因 ALD 技术优异的保型性且薄膜材料
密度一致,在 TOPCon 电池具有金字塔绒面的正面 Al2O3 钝化层制备中,公司的 ALD 设备正成为
主流技术路线。同时,公司还基于 PEALD、PECVD 等多种真空薄膜技术,开发多款不同技术路
线的产品,已推出的 PE-ToxPoly 设备产业化进展顺利,客户认可度较高,市场占有率快速提升。
由公司开发的行业内首条 GW 级 PE-TOPCon 工艺整线已经获得客户的验收,带动和引领了行业
内 TOPCon 电池的量产导入。同时,公司还积极地探索开发双面 Poly、XBC、异质结/钙钛矿叠层
电池等新一代高效电池方面的技术。
                                                       单位:元 币种:人民币
                                                  本年比上年
                                                   增减(%)
总资产        7,582,005,963.29   3,820,132,777.19           98.47 1,356,913,306.92
归属于上市公司股
东的净资产
营业收入       1,679,721,346.20    684,511,905.51            145.39    427,917,135.52
归属于上市公司股
东的净利润
归属于上市公司股
东的扣除非经常性    188,138,277.86      19,806,262.27            849.89     26,689,023.66
损益的净利润
经营活动产生的现
金流量净额
加权平均净资产收
益率(%)
基本每股收益(元
/股)
稀释每股收益(元
/股)
研发投入占营业收
入的比例(%)
                                                                   单位:元 币种:人民币
               第一季度              第二季度                 第三季度                 第四季度
              (1-3 月份)          (4-6 月份)             (7-9 月份)            (10-12 月份)
营业收入           75,773,813.87     306,303,396.67       639,485,380.64         658,158,755.02
归属于上市公 司
                -1,089,053.85     69,656,245.03        86,503,091.11         115,321,588.86
股东的净利润
归属于上市公 司
股东的扣除非 经
                -2,555,995.72     48,058,546.69        69,351,141.92          73,284,584.97
常性损益后的 净
利润
经营活动产生 的
               -31,293,834.93    249,048,242.28        -30,996,918.88        -93,427,340.28
现金流量净额
季度数据与已披露定期报告数据差异说明
□适用 √不适用
    名股东情况
                                                                                  单位: 股
截至报告期末普通股股东总数(户)                                                                      7,444
年度报告披露日前上一月末的普通股股东总数(户)                                                               7,391
截至报告期末表决权恢复的优先股股东总数(户)                                                                   0
年度报告披露日前上一月末表决权恢复的优先股股东总数(户)                                                             0
截至报告期末持有特别表决权股份的股东总数(户)                                                                  0
年度报告披露日前上一月末持有特别表决权股份的股东总数(户)                                                            0
                                前十名股东持股情况
                                                               包   含
                                                                        质押、标记或
                                                               转   融
                                                                         冻结情况
                                                               通   借
                                               持有有限售
    股东名称      报告期内      期末持股数         比例                       出   股                  股东
                                               条件股份数
    (全称)       增减         量           (%)                      份   的                  性质
                                                 量                      股份
                                                               限   售          数量
                                                                        状态
                                                               股   份
                                                               数   量
                                                                                      境内
无锡万海盈投资
                                                                                      非国
合伙企业(有限             0   232,581,624    51.18   232,581,624              无         0
                                                                                      有法
合伙)
                                                                                      人
                                                                                      境外
LI, WEI MIN         0    42,831,704     9.42      42,831,704            无         0   自然
                                                                                      人
                                                                  境内
无锡聚海盈管理
                                                                  非国
咨询合伙企业              0    37,798,352   8.32   37,798,352   无   0
                                                                  有法
(有限合伙)
                                                                  人
                                                                  境外
LI, XIANG           0    20,158,464   4.44   20,158,464   无   0   自然
                                                                  人
                                                                  境内
胡彬                  0    12,594,008   2.77   12,594,008   无   0   自然
                                                                  人
                                                                  境内
潘景伟                 0     8,994,000   1.98    8,994,000   无   0   自然
                                                                  人
中芯聚源股权投
资管理(天津)
合伙企业(有限                                                           境内
合伙)-聚源中                                                           非国
小企业发展创业                                                           有法
投资基金(绍兴)                                                          人
合伙企业(有限
合伙)
香港瑞華投資有                                                           境外
限公司                                                               法人
                                                                  境内
宁波梅山保税港
                                                                  非国
区问鼎投资有限     -1,155,419    5,353,813   1.18           0    无   0
                                                                  有法
公司
                                                                  人
                                                                  境内
无锡德厚盈投资
                                                                  非国
合伙企业(有限             0     5,041,848   1.11    5,041,848   无   0
                                                                  有法
合伙)
                                                                  人
                                        关联关系及一致行动关系。
                                        资管理企业(有限合伙),南京毅达股权投资管
                                        理企业(有限合伙)受中小企业发展基金的执
上述股东关联关系或一致行动的说明
                                        行事务合伙人江苏毅达股权投资基金管理有限
                                        公司控制。
                                        关系或一致行动关系。
表决权恢复的优先股股东及持股数量的说明                     无
存托凭证持有人情况
□适用 √不适用
截至报告期末表决权数量前十名股东情况表
□适用 √不适用
√适用 □不适用
√适用 □不适用
□适用 √不适用
□适用 √不适用
                        第三节 重要事项
公司经营情况有重大影响和预计未来会有重大影响的事项。
    公司 2023 年营业收入 167,972.13 万元,同比增长 145.39%;2023 年归属于上市公司股东的
净利润 27,039.19 万元,同比增长 399.33%;2023 年扣除非经常性损益后的归属于上市公司股东
的净利润 18,813.83 万元,同比增长 849.89%;2023 年末公司总资产 758,200.60 万元,同比增长
止上市情形的原因。
□适用 √不适用

首页 股票 财经 基金 导航